Inventor(s)

n/aFollow

Abstract

This disclosure describes techniques for thermal management of 3D stacked high bandwidth memory (HBM) with optical interfaces. Per techniques of this disclosure, the HBM thermal (heat) load is configured to be in a separate package from the ASIC package, thereby enabling optimized cooling of both components. The HBM package includes multiple HBM DRAM dies and a DRAM base die. A DRAM interface light bundle provides optical connectivity to the HBM package, and is coupled to an optical connector.A cold plate or other thermal management solution can be deployed at an upper surface of the HBM package via direct contact to the HBM DRAM die, thereby providing superior thermal management. The configuration also enables utilization of coldplates for cooling the HBM via direct contact. Power consumption of the HB package is also reduced due the elimination of 2 high speed PHY circuits from the HBM package.

Creative Commons License

Creative Commons License
This work is licensed under a Creative Commons Attribution 4.0 License.

Share

COinS